1

Тема: Моргание светодиодом заданное количество раз

Доброго времени суток!
Возникла необходимость добавить в диаграмму следующий функционал:
счетчик отслеживает количество нажатий на кнопку, и по истечении 10 сек в десятичном виде результат передается далее в схему. Я хочу в конце этой операции видеть подтверждение нажатий вспышками светодиода. Т.е. нажал кнопку 7 раз и через 10 сек увидел 7 вспышек. Возможно ли десятичное число преобразовать в импульсы с заданным периодом и заполнением?

2

Re: Моргание светодиодом заданное количество раз

Система счисления не важна, важно лишь значение.

Насколько я понял условия задачи, подобный алгоритм вполне возможно реализовать.

Скорее всего, в числе прочих, вам потребуются для генерации и подсчета эти функциональные блоки:
https://canny.ru/docs/fbd/timers_genera … generator/
https://canny.ru/docs/fbd/counters_detectors/counter/

Некоторые подходы к генерации и подсчету импульсов можно найти на форуме. Например: https://forum.canny.ru/viewtopic.php?id=920

Приступайте к созданию диаграммы и в случае возникновения затруднении - задавайте вопрос с указанием места на вашей диаграмме и прикладывайте вашу диаграмму к сообщению.

3

Re: Моргание светодиодом заданное количество раз

Константин, спасибо за ответ!
Получилась вот такая диаграмма. На вход устанавливаем число, через 10 секунд светодиод моргает заданное количество раз. Но есть нюанс: после последней вспышки светодиод пытается моргнуть в пол силы. С чем это может быть связано и как избежать?

Вложений в сообщении

Иконка вложения flashLED.cfd 1.83 кб, скачивался 131 раз, последний раз 2021-12-21 

4

Re: Моргание светодиодом заданное количество раз

Это легко выяснить, если установить контрольную точку в симуляторе CannyLab на сегмент сети подключенный к выходу блока №7: https://canny.ru/docs/cannylab/simulato … nye-tochki

На выходе блока №7 значение "1" появляется только тогда, когда кол-во вспышек светодиода становится на 1 ед больше заданного. Однако, сразу после этого блок №6 сбрасывается. По этому вы видите одну дополнительную короткую вспышку.

Этого можно избежать, если сбрасывать блок №6 по заднему фронту светодиода (см.вложение)

Вложений в сообщении

Иконка вложения flashLED-1a.cfd 2.04 кб, скачивался 136 раз, последний раз 2021-12-21 

5

Re: Моргание светодиодом заданное количество раз

Константин, спасибо за доработку! Узнал для себя новое о работе контрольных точек, ранее не пользовался и зря). Диаграмма полностью соответствует заданию.